首页> 外文OA文献 >Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation
【2h】

Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation

机译:通过动态二进制转换支持运行时可重新配置的VLIW内核

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

Single ISA-Heterogeneous multi-cores such as the ARM big.LITTLE have proven to be an attractive solution to explore different energy/performance trade-offs. Such architec-tures combine Out of Order cores with smaller in-order ones to offer different power/energy profiles. They however do not really exploit the characteristics of workloads (compute-intensive vs. control dominated). In this work, we propose to enrich these architectures with runtime configurable VLIW cores, which are very efficient at compute-intensive kernels. To preserve the single ISA programming model, we resort to Dynamic Binary Translation , and use this technique to enable dynamic code specialization for Runtime Reconfigurable VLIWs cores. Our proposed DBT framework targets the RISC-V ISA, for which both OoO and in-order implementations exist. Our experimental results show that our approach can lead to best-case performance and energy efficiency when compared against static VLIW configurations.
机译:事实证明,单个ISA异构多核(例如ARM big.LITTLE)是探索不同的能耗/性能折衷方案的理想解决方案。这种架构将无序磁芯与较小的有序磁芯组合在一起,以提供不同的功率/能量分布。但是,它们并没有真正利用工作负载的特性(计算密集型与控制为主)。在这项工作中,我们建议使用运行时可配置的VLIW内核来丰富这些体系结构,这些内核在计算密集型内核中非常有效。为了保留单个ISA编程模型,我们诉诸于动态二进制转换,并使用此技术为运行时可重配置VLIW内核启用动态代码专业化。我们提出的DBT框架针对RISC-V ISA,同时存在OoO和按顺序实现。我们的实验结果表明,与静态VLIW配置相比,我们的方法可以带来最佳的性能和能效。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号